[Menu]>[CPLD]


4bits x 4channels Selector

On this page, I will introduce a selector circuit which chooses one channel from four channels which are composed of 4 bits.
Either input is chosen from four channels by the 2-bit selection signal and is output.

Pin lock isn't specified.
As the device, it deals with CPLD(XC9536-PC44).

Source code and Explanation

Fitting report


Operating state table
InputOutput
SEL(1)SEL(0)Q3 - Q0
00Q = A
01Q = B
10Q = C
11Q = D