please dont rip this site

Digital Signal Processing Logic

Nikolai Golovchenko says:

FIR filters use only input signal samples to compute the next output. The difference equation for FIR filter is:
y(n) = b0 * x(n) + b1 * x(n - 1) + b2 * x(n - 2) + ...,
where y(n) - n'th sample of output
x(n) - n'th sample of input

IIR filters use also output signals samples. That is,

y(n) = b0 * x(n) + b1 * x(n - 1) + b2 * x(n - 2) + ...
- a1 * y(n - 1) - a2 * y(n - 2) - ...,

You can see from the FIR formula that its impulse response is indeed time-limited, because its output is based on a number of input samples and if input becomes zero then output will go zero sooner or later. The length of FIR filter buffer defines the frequency and magnitude resolution that the filter can provide. For example, a low pass filter with pass band edge frequency of 100 Hz (0dB) and stop frequency 150 Hz (-60 dB) at 44100 Hz sampling requires about 1400 buffer size. FIR filters can have linear phase on frequency dependence.

IIR filter output depends on both input and output samples. IIR filters resemble analog filters in this respect and often synthesized on an analog model. IIR filters generally require less memory size, but harder to implement. They have problems with stability, coeficients resolution. Good idea is break the whole filter into second order sections. Response of a practical IIR filter may be finite because of limited coeficients length. Sometimes, the response is made infinite intentionally to generate for example sine waves.


file: /Techref/logic/dsp/firvsiir.htm, 1KB, , updated: 2004/8/4 09:47, local time: 2024/3/28 13:51,
TOP NEW HELP FIND: 
34.234.83.135:LOG IN

 ©2024 These pages are served without commercial sponsorship. (No popup ads, etc...).Bandwidth abuse increases hosting cost forcing sponsorship or shutdown. This server aggressively defends against automated copying for any reason including offline viewing, duplication, etc... Please respect this requirement and DO NOT RIP THIS SITE. Questions?
Please DO link to this page! Digg it! / MAKE!

<A HREF="http://techref.massmind.org/Techref/logic/dsp/firvsiir.htm"> Digital Signal Processing Logic </A>

After you find an appropriate page, you are invited to your to this massmind site! (posts will be visible only to you before review) Just type a nice message (short messages are blocked as spam) in the box and press the Post button. (HTML welcomed, but not the <A tag: Instead, use the link box to link to another page. A tutorial is available Members can login to post directly, become page editors, and be credited for their posts.


Link? Put it here: 
if you want a response, please enter your email address: 
Attn spammers: All posts are reviewed before being made visible to anyone other than the poster.
Did you find what you needed?

 

Welcome to massmind.org!

 

Welcome to techref.massmind.org!

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

  .